www.wikidata.uk-ua.nina.az
Verilog HDL angl Verilog Hardware Description Language mova opisu aparaturi HDL sho vikoristovuyetsya dlya opisu ta modelyuvannya elektronnih sistem Verilog HDL ne slid plutati z VHDL konkuruyucha mova najbilsh chasto vikoristovuyetsya u proektuvanni verifikaciyi i realizaciyi napriklad u viglyadi NVIS analogovih cifrovih ta zmishanih elektronnih sistem na riznih rivnyah abstrakciyi VerilogParadigma strukturnijData poyavi 1984Ostannij reliz IEEE1364 2005 9 listopada 2005 17 rokiv tomu 2005 11 09 Sistema tipizaciyi statichnij slabkij tipPid vplivom vid C Pascal 1 2 i Ada 1 Vplinula na SystemVerilogZvichajni rozshirennya fajliv code v code Rozrobniki Verilog zrobili jogo sintaksis duzhe shozhim na sintaksis movi C sho sproshuye jogo osvoyennya Verilog maye preprocesor duzhe shozhij na preprocesor movi C i osnovni keruyuchi konstrukciyi if while takozh podibni odnojmennim konstrukciyam movi C Ugodi po formatuvannyu vivedennya takozh duzhe shozhi div printf Slid zaznachiti sho opis aparaturi napisanij movoyu Verilog yak i inshimi HDL movami prijnyato nazivati programami ale na vidminu vid zagalnoprijnyatogo ponyattya programi yak poslidovnosti instrukcij tut programa predstavlyaye mnozhinu operatoriv yaki vikonuyutsya paralelno i ciklichno pid keruvannyam ob yektiv nazvanih signalami Kozhen takij operator ye modellyu pevnogo elementa realnoyi funkcionalnoyi shemi aparaturi a signal analogom realnogo logichnogo signalu Tak samo dlya movi Verilog ne zastosovuyetsya termin vikonannya programi Faktichno vikonannya Verilog programi ye modelyuvannyam funkcionalnoyi shemi yaku vona opisuye sho vikonuyetsya specialnoyu programoyu Verilog simulyatorom Zmist 1 Oglyad 2 Stvorennya 3 Tipi danih 3 1 Deklaraciya net ob yektiv 3 2 Deklaraciya zminnih 4 Povedinkova model 4 1 initial 4 2 always 5 Priklad 6 Operatori 7 Div takozh 8 PosilannyaOglyad RedaguvatiRozrobniki movi Verilog hotili stvoriti yiyi za sintaksisom podibnoyu do movi programuvannya C yaka uzhe shiroko vikoristovuvalasya pri rozrobci programnogo zabezpechenni Yak i C Verilog chutlivij do registru i maye bazovij preprocesor hocha ne takij skladnij yak u ANSI C C Jogo klyuchovi slova dlya keruvannya potokom taki yak if else for while case ta inshi ye ekvivalentnimi a Chergovist operacij sumisna iz C Do sintaksichnih vidminnostej vidnosyatsya neobhidnist vkazuvati shirinu v bitah pri deklaraciyi zminnih demarkaciya procedurnih blokiv Verilog vikoristovuye klyuchovi slova begin end zamist figurnih duzhok i bagato inshih ne znachnih vidminnostej Verilog vimagaye shob usim zminnim viznachavsya rozmir V C ci rozmiri viznachayutsya tipom zminnoyi napriklad cilij tip mozhe mati rozmir v 8 bit Struktura programi na Verilog skladayetsya iz iyerarhiyi moduliv Moduli inkapsulyuyut iyerarhiyu dizajnu i komunikuyut z inshimi modulyami cherez mnozhinu ogoloshenih vhodiv vihodiv i dvonapravlenih portiv Isnuye pidmnozhina instrukcij movi Verilog pridatna dlya sintezu Moduli yaki napisani v mezhah ciyeyi pidmnozhini nazivayut RTL angl register transfer level riven registrovih peredach Voni mozhut buti fizichno realizovani z vikoristannyam SAPR sintezu SAPR za pevnimi algoritmami peretvorit abstraktnij vihidnij Verilog kod na perelik zv yazkiv angl netlist logichno ekvivalentnij opis sho skladayetsya z elementarnih logichnih primitiviv napriklad elementi AND OR NOT ta trigeri yaki dostupni u vibranij tehnologiyi virobnictva NVIS abo programuvannya BMK chi PLIS Podalsha obrobka pereliku zv yazkiv v kincevomu pidsumku porodzhuye fotoshabloni dlya litografiyi abo proshivku dlya FPGA Stvorennya RedaguvatiVerilog stvorili Phil Moorby i Prabhu Goel vzimku 1983 1984 rokiv u firmi Automated Integrated Design Systems z 1985 roku Gateway Design Automation yak movu modelyuvannya aparaturi U 1990 roci Gateway Design Automation bula kuplena Cadence Design Systems Kompaniya Cadence maye prava na logichni simulyatori Gateway s Verilog i Verilog XL simulator Tipi danih RedaguvatiU Verilog isnuye dvi osnovnih grupi tipiv danih net ta variable Obidvi grupi vidriznyayutsya sposobami priznachennya ta zberignnaya znachennya Takozh ci grupi predstavlyayut rizni strukturi pid chas sintezu Ekzemplyari ob yektiv oboh grup pid chas modelyuvannya Verilog opisu mozhut prijmati 4 znachennya 0 1 x nevidome znachennya Dane znachennya vikoristovuyetsya lishe pid chas modelyuvannya Pid chas roboti realnoyi aparaturi zavzhdi bude 0 abo 1 z stan visokogo impendansu tobto vidsutnist signalu Prikladom vikoristannya danogo znachennya ye opis tristabilnih buferiv Deklaraciya net ob yektiv RedaguvatiTip danih net budu predstavlyati fizichni z yednannya mizh blokami dizajnu napriklad logichnimi ventilyami Ob yekti danogo tipu ne budut zberigati znachennya okrim tristabilnih buferiv Znachennya takogo ob yektu bude viznachatisya znachennyami jogo drajveriv Yaksho do net ob yektu ne priyednano drajveriv to vin matime znachennya visokogo impendansu z wire w1 1 bitovij signal wire 31 0 bus 32 bitova shina Deklaraciya zminnih RedaguvatiZminni yavlyayutsya abstrakciyeyu elementu dlya zberigannya danih Zminna maye zberigati znachennya vid odnogo prisvoyennya do inshogo Konstrukciya prosvoyennya diye yak triger yakij zbudzhuye zminu znachennya v elementi zberezhennya danih Pochatkovim znachennya dlya tipiv reg time ta integer ye nevidome znachennya x Pochatkovim znachennya dlya tipiv real ta realtime ye znachennya 0 0reg 7 0 bus deklaraciya 8 bitnoyi shini reg 31 0 memory 0 1023 1024 slova pam yati kozhne slovo skladayetsya z 32 bitiv Povedinkova model RedaguvatiIsnuye dekilka sposobiv zadannya Verilog procesiv initial RedaguvatiKonstrukciya initial vikoristovuyetsya dlya zadannya pevnogo bloku kodu sho bude vikonano rivno odin raz Varto zaznachiti sho dana konstrukciya ne nalezhit do sintezovanoyi pidmnozhini movi Tipovim vikoristannyam initial konstrukciyi ye inicializaciya pevnih zminnih pid chas pochatku modelyuvannya Nizhche navedeno priklad generaciyi sinhrosignalu c periodom period u testovomu moduli module testbench initial begin clk 1 b0 forever period 2 clk clk end endmodule always RedaguvatiKonstrukciya always predstavlyaye soboyu blok kodu yakij povtorno vikonuyetsya pid chas modelyuvannya always skladayetsya z dvoh chastin spisku chutlivosti ta bloku operatoriv Spisok chutlivosti predstavlyaye soboyu nabir pevnih signaliv abo viraziv Zmina znachennya bud yakogo elementu zi spisku chutlivosti iniciyeyu vikonannya bloku operatoriv konstrukciyi Dekilka always blokiv vikonuyutsya paralelno U nastupnomu prikladi navedeno sposib vikoristannya danoyi konstrukciyi dlya realizacyi funkciyi bitove ABO Kozhen raz koli signal A chi B otrimuyut nove znachennya virahovuyetsya znachennya signalu C always A or B begin C A amp B endPriklad RedaguvatiPrograma Hello world na movi Verilog ne sintezuyetsya module main initial begin display Hello world finish end endmodule Dva prostih poslidovno z yednanih trigeri module toplevel clock reset input clock input reset reg flop1 reg flop2 always posedge reset or posedge clock if reset begin flop1 lt 0 flop2 lt 1 end else begin flop1 lt flop2 flop2 lt flop1 end endmoduleNizhche navedeno opis diskretnogo avtomatu dlya keruvannya svitloforom Dlya realizaciyi zatrimok pid chas roboti svitloforu vikoristovuyetsya model zatrimok Zatrimka v kozhnij vershini temporoalnogo grafu realizuyetsya za dopomogoyu petel umovoyu dlya yakih ye pidrahunok chisla taktiv Zatrimka realizuyetsya za dopomogoyu zaciklyuvannya u stani Zaciklyuvannya vidbuvayetsya doki lichilnik taktiv ne dosyagne znachennya zatrimki module fsm input clk reset st onn output R Y G localparam 2 0 a1 3 b000 a2 3 b001 a3 3 b010 a4 3 b011 a5 3 b100 reg 2 0 state nextState reg 2 0 count count1 localparam T1 3 b010 localparam T2 3 b101 always posedge clk begin if reset begin state a1 count 3 b000 end else begin state nextState count count1 end end always comb begin case state a1 begin if count lt T1 1 begin nextState a1 count1 count1 1 b1 end else if onn begin nextState a2 count1 3 b000 end else begin nextState a1 count1 3 b000 end end a2 begin if count lt T1 1 begin nextState a2 count1 count1 1 b1 end else if onn st begin nextState a1 count1 3 b000 end else begin nextState a3 count1 3 b000 end end a3 begin if count lt T2 1 begin nextState a3 count1 count1 1 b1 end else if onn st begin nextState a1 count1 3 b000 end else begin nextState a4 count1 3 b000 end end a4 begin if count lt T1 1 begin nextState a4 count1 count1 1 b1 end else if onn st begin nextState a1 count1 3 b000 end else begin nextState a5 count1 3 b000 end end a5 begin if count lt T2 1 begin nextState a5 count1 count1 1 b1 end else if onn st begin nextState a1 count1 3 b000 end else begin nextState a2 count1 3 b000 end end default nextState a1 endcase endOperatori RedaguvatiMova programuvannya Verilog pidtrimuye nastupni operatori Tip operatoriv Simvol OperaciyaPobitovi Pobitova inversiya amp Pobitova AND Pobitova OR Pobitova XOR or Pobitova XNORLogichni NOT amp amp AND ORRedukciya Reduction amp Reduction AND amp Reduction NAND Reduction OR Reduction NOR Reduction XOR or Reduction XNORArifmetichni Dodavannya Vidnimannya dopovnennya do 2 Mnozhennya Dilennya Pidnesennya do stepenya Verilog 2001 Vidnosni gt Bilshe lt Menshe gt Bilshe abo dorivnyuye lt Menshe abo dorivnyuye Logichna rivnist Logichna nerivnist 4 state logichna rivnist 4 state logichna nerivnistZsuv gt gt Logichnij zsuv vpravo lt lt Logichnij zsuv vlivo gt gt gt Arifmetichnij zsuv vpravo Verilog 2001 lt lt lt Arifmetichnij zsuv vlivo Verilog 2001 Konkatenaciya KonkatenaciyaReplikaciya n m Replikaciya znachennya m n razivUmovni UmovaDiv takozh RedaguvatiVHDL AHDL Icarus VerilogPosilannya Redaguvati1364 2005 IEEE Standard for Verilog Hardware Description Language Arhivovano 25 bereznya 2016 u Wayback Machine Verilog Tutorial Arhivovano 15 travnya 2013 u Wayback Machine angl Asic World Arhivovano 16 grudnya 2007 u Wayback Machine angl Verilog Tutorial nedostupne posilannya z chervnya 2019 angl Verilog Tutorial nedostupne posilannya z chervnya 2019 angl Verilog Tutorial Arhivovano 3 veresnya 2013 u Wayback Machine angl Online Verilog 1995 Quick Reference Guide angl Online Verilog 2001 Quick Reference Guide angl Polyakov A K Yazyki VHDL i Verilog v proektirovanii cifrovoj apparatury M SOLON Press 2003 320 s il Seriya Sistemy proektirovaniya ISBN 5 98003 016 6 ros VerilogHDL yazyk proektirovaniya apparatury ros nbsp Ce nezavershena stattya pro movi programuvannya Vi mozhete dopomogti proyektu vipravivshi abo dopisavshi yiyi a b https www physi uni heidelberg de angelov VHDL VHDL SS09 Teil10 pdf unspecified title ISBN 9783486711509 Otrimano z https uk wikipedia org w index php title Verilog amp oldid 38135024